Assignments

Throughout the course you are required to work out several assignments. In order to start, you have to set up your development environment. It is highly recommended finishing it during the first week of the course.

Assignments 1-4 are individual assignments and each student is required to work individually and upload the solution into their own Gitlab repository on time.
Assignment 5 is a group assignment and each group is required to commit their solution into their group repository on time.

Please make sure that you attend consultations or email us if you have any question.

Note

Checking the assignments is always done on Monday after the submission deadline. In case we found mistakes in your solution you’ll receive an email and a second submission deadline until the next Wednesday (2 days) in order to send in the corrected solution.
At the end, the assignment is either passed or not passed.
When passed, you’ll not receive an email from us.

Info

Assignments 1-4 are mandatory. If you don’t pass all of them, you will not be approved for the final exam.

Assignment descriptions will be added on time.

Warning

Do not exchange text or source code! Keep it private!
We encourage you to exchange ideas, but we do not tolerate plagiarism.
Plagiarism of any form will get you disqualified from the lab.